曙海科技
上海:021-51875830 北京:010-51292078
西安:029-86699670 南京:4008699035
成都:4008699035 武汉:027-50767718
广州:4008699035 深圳:4008699035
沈阳:024-31298103 石家庄:4008699035☆
全国统一报名免费电话:4008699035 微信:shuhaipeixun或15921673576/13918613812 QQ:1299983702
首页 课程表 报名 在线聊 讲师 品牌 QQ聊 活动 就业
嵌入式OS--4G手机操作系统
嵌入式硬件设计
Altium Designer Layout高速硬件设计
开发语言/数据库/软硬件测试
芯片设计/大规模集成电路VLSI
其他类
 
   Synopsys Astro培训
   班级规模及环境--热线:4008699035 手机:15921673576/13918613812( 微信同号)
       坚持小班授课,为保证培训效果,增加互动环节,每期人数限3到5人。
   上课时间和地点
上课地点:【上海】:同济大学(沪西)/新城金郡商务楼(11号线白银路站) 【深圳分部】:电影大厦(地铁一号线大剧院站)/深圳大学成教院 【北京分部】:北京中山/福鑫大楼 【南京分部】:金港大厦(和燕路) 【武汉分部】:佳源大厦(高新二路) 【成都分部】:领馆区1号(中和大道) 【沈阳分部】:沈阳理工大学/六宅臻品 【郑州分部】:郑州大学/锦华大厦 【石家庄分部】:河北科技大学/瑞景大厦 【广州分部】:广粮大厦 【西安分部】:协同大厦
近开课时间(周末班/连续班/晚班)
Synopsys Astro培训:即将开课,详情请咨询客服。(欢迎您垂询,视教育质量为生命!)
   实验设备
     ☆资深工程师授课

        
        ☆注重质量
        ☆边讲边练

        ☆合格学员免费推荐工作

        ☆合格学员免费颁发相关工程师等资格证书,提升您的职业资质

        专注高端培训17年,曙海提供的课程得到本行业的广泛认可,学员的能力
        得到大家的认同,受到用人单位的广泛赞誉。

        ★实验设备请点击这儿查看★
   新优惠
       ◆在读学生凭学生证,可优惠500元。
   质量保障

        1、培训过程中,如有部分内容理解不透或消化不好,可免费在以后培训班中重听;
        2、课程完成后,授课老师留给学员手机和Email,保障培训效果,免费提供半年的技术支持。
        3、培训合格学员可享受免费推荐就业机会。

  Synopsys Astro培训


第一阶段

OVERVIEW

This workshop will enable the student to become proficient in using Astro to perform a timing-driven flow for placement, clock tree synthesis (CTS), routing and optimization to achieve timing closure for designs with moderate placement and routing challenges using a flat floorplan (chip or block). The main emphasis of the workshop is to learn a proven, effective flow that will achieve reasonable quality of results with fast time-to-market. The workshop covers the recommended flow steps for design set-up, floorplanning, timing set-up, placement, clock-tree synthesis, routing, optimization and design for manufacturability to achieve these results. Job aids will be provided to enable the student to recall and implement all the recommended steps back at the job.

This workshop will not cover advanced design closure features and flows such as: techniques of achieving timing closure on designs with complex or difficult placement, CTS and routing challenges, or signal integrity and power rail issues.

OBJECTIVES

At the end of this workshop the student will be able to:

  • Describe key concepts and steps associated with automatic place&route
  • Verify that all input data and information required to use Astro is available
  • Implement a floorplan including macros
  • Configure Astro for a timing driven flow
  • Perform placement, clock-tree synthesis, routing and optimization in Astro, achieving timing closure for designs with moderate placement, CTS and routing challenges, emphasizing fast time to market
  • Verify quality of results by analyzing timing and skew reports, congestion maps and other reports
  • Interface to Synthesis and sign-off STA tools

AUDIENCE PROFILE

ASIC, back-end or layout design engineers with little or no experience in Apollo or Astro, who will be using Astro to perform automatic Place & Route.

PREREQUISITES

No previous experience with Astro or Apollo needed. Previous experience with non-Synopsys automatic Place & Route tool is helpful, but also not required.

COURSE OUTLINE

第一部分

Unit 1: Introduction to Place and Route

  • Key concepts and steps associated with automatic place&route tools

Unit 2: Timing Setup

  • Attaching TLU/TLU-Plus capacitance models
  • Loading SDC constraints
  • Configuring the timing setup panel
  • Performing a "timing sanity check"

Unit 3: Placement

  • Pre-place optimization
  • Placement and post-place optimization
  • Congestion analysis
  • Handling scan chains
  • Soft and hard blockages

第二部分

Unit 4: Clock Tree Synthesis

  • Post-place optimization
  • Clock tree synthesis and optimization
  • Global Route?congestion

Unit 5: Design Setup

  • Creating a library
  • Attaching reference libraries
  • Reading?and expanding the netlist
  • Creating and binding a design cell
  • Hierarchy preservation

Unit 6: Floorplanning (Lecture)

  • Pad/Pin placement
  • Power/ground grid creation
  • Specifying the chip size and placement rows
  • Macro placement
  • Rectilinear block floorplanning

第三部分

Unit 6: Floorplanning (Lab)

?Unit 7: Routing

  • Power/ground routing
  • Clock net routing
  • Global routing
  • Track assignment
  • Detail routing
  • Search and repair
  • Post- and in-route optimization and CTO

Unit 8: Design for Manufacturing

  • Antenna fixing
  • Metal slotting and filling
  • DRC/LVS checking
  • Writing files for Static Timing Analysis sign-off
第二阶段


1.?Introduction to Physical Design
2.?Design and Timing Setup
3.?Placement
4.?Clock Tree Synthesis(CTS)
5.?Floorplanning
6.?RAM(Lecture)
7.?Routing
8.?Design for Manufacturing
9.?Customer Support